Технология PowerGold позволяет Mindspeed Technologies снизить энергопотребление интегральных схем на 20%

Компания Golden Gate Technology, специализирующаяся на технологиях снижения энергопотребления интегральными электронными схемами, сообщила, что ее разработка PowerGold взята на вооружение компанией Mindspeed Technologies - разработчиком полупроводниковых приборов для приложений сетевой инфраструктуры. В результате, если верить данным компании, Mindspeed удалось снизить энергопотребление разрабатываемых продуктов более чем на 20%.

Увеличение энергопотребления – неизменный спутник усложняющихся схемотехнических решений. Поэтому потребность в средстве, которое позволило бы решать задачу снижения энергопотребления, так сказать, в автоматическом режиме, еще на этапе проектирования микросхем, чрезвычайно велика. Технология PowerGold позволяет уменьшить энергопотребление на 10-20% и более, а использовать ее можно с распространенными в отрасли разработками, включая продукцию Cadence Design Systems, Magma Design Automation и Synopsys.

Уникальность PowerGold заключается в использовании алгоритма, построенного на снижении суммарных емкостей в критически важных цепях распространения тактовых импульсов и сигналов. Соответствующая технология оптимизации топологии получила название WiresFirst. Помимо переупорядочивания проводников применяются приемы увеличения изоляции, снижающие потребление энергии, но не оказывающие негативного влияния на процессы синхронизации. После первого этапа трассировки, WiresFirst последовательно подбирает баланс емкостей и реструктурирует схему так, чтобы снизить энергопотребление при минимальном влиянии на синхронизацию и параметры физического размещения элементов.

Источник: Golden Gate Technology

23 января 2007 в 23:48

Автор:

Все новости за сегодня

Календарь

январь
Пн
Вт
Ср
Чт
Пт
Сб
Вс